波长路由在光互连计算机网络中的应用(英文)

波长路由在光互连计算机网络中的应用(英文)

一、波长路由在光互连计算机网络中的应用(英文)(论文文献综述)

伍彦[1](2021)在《光时隙分配优化算法》文中研究说明随着现代社会的数字化变革和互联网的飞速发展,大数据和云计算等新兴技术在推动数据中心规模化建设的同时,也产生了爆炸式增长的数据流量,对数据中心内部互连网络的带宽提供能力与能耗带来了前所未有的挑战。为了减少数据中心的搭建成本及能耗,提高数据中心内部数据传输的效率,本论文针对在数据中心内部互连网络中引入光交换的研究思路展开探索。首先结合数据中心内部业务传输需求与光交换技术的研究现状,提出了基于光时隙交换机制的数据中心光电混合传输网络,同时通过SDN控制架构对业务传输需求进行统一调配,为数据流分配合适的路由、波长及时隙,根据流的目的地址,同一机架内的服务器间的数据传输需求,直接通过架顶分组交换机来实现;不同机架内服务器间的数据通信则经过光时隙交换网络来实现低能耗、高效的数据传输,充分发挥电分组交换和光时隙交换各自的优势,通过光时隙交换网络的可重构特性,更好地支持机架间的高动态突发业务。针对上述研究思路,首先通过理论分析,将光电混合互连网络与传统电交换互连网络的成本与能耗进行比较;其次,基于OMNeT++网络仿真器,搭建了结合SDN控制体系的光电混合数据中心网络仿真环境,主要通过对光时隙交换设备建模与控制信息交互流程的构建,实现了 n维超立方体的光交换网络结构、SDN控制的光时隙交换机制、光时隙业务适配与时隙分配算法,并对上述机制的可行性与有效性进行了仿真验证。仿真结果表明,所提机制保证了光时隙交换网中的数据流能够无冲突地通过光交换设备进行传输,且时隙分配具有连续性,减少了光交换的链路切换,提高了网络利用率。与传统数据中心网络结构相比,流的传输完成率提升了 13.6%,流的传输时延减少了 11%,有效地优化了网络性能,可以更好地支持数据中心内的各种业务。

宋婷婷[2](2021)在《针对片上光互连网络通信可靠性的研究与优化》文中提出在当今信息时代应用需求爆炸式增长的驱动下,实现高可靠性和高计算性能的超级信息处理系统是片上系统发展的必然趋势。随着互补金属氧化物半导体(Complementary metal oxide semiconductor,CMOS)工艺技术的长足改进,单芯片上集成成百上千个处理核的多核处理器系统已经实现。在片上多核系统中,由于多任务的并行处理及处理核间海量数据的频繁交换,迫切需要一种高效的通信架构来实现系统的高性能信息处理。得益于与CMOS兼容的硅光子技术的迅猛发展,片上光互连网络(Optical networks-on-chip,ONo Cs)有效解决了传统电互连所产生的高时延、高损耗、带宽限制和通信效率低等问题,其具备强大的并行计算能力、优秀的资源利用率和良好的可拓展性,在超高速光通信、超级计算机系统、计算机体系结构设计等领域具有广阔的应用前景。此外,将波分复用技术应用于片上光互连网络能够满足超大容量和超高速率对更高通信带宽的需求。然而,现阶段片上多核光互连网络的通信可靠性无法得到有效保证。一方面,由于硅基光子器件本身的材料属性和当前尚不完美的制造工艺,光载波信号在传输过程中不可避免地会遭受固有物理损耗和串扰噪声的影响,从而导致网络中多跳通信光信噪比的降低及误码率的增大。另一方面,硅基光开关元件对温度波动和工艺偏差非常敏感,温度及制造工艺的轻微变化都会导致光开关的谐振波长发生漂移,使得光通信链路的物理性能变差,对系统级的通信性能和可靠性造成负面影响。尤其对于采用波分复用技术的片上光通信系统,由上述问题导致的数据通信可靠性降低现象更为严重。因此,本文针对如何提升片上光互连网络的通信可靠性这一问题,开展了相关研究,并取得了如下研究成果:1.针对多波长片上光互连网络中的串扰特性,将角度优化(60°/120°波导交叉)方法应用于支持波分复用技术的光路由器层和光网络层,以提升光通信链路的物理性能,降低光网络中信号传输的误码率。首先,依次构建了完善的光器件级、光路由器级和光网络级的插入损耗和串扰特性分析模型;其次,基于角度优化方法和理论分析模型设计了优化的Crossbar和Crux光路由器的优化结构,对比分析该方法对光路由器的串扰特性及各端口光信噪比性能所产生的积极影响;最后,将所设计的角度优化光路由器应用于光网络层,基于Mesh和Torus拓扑结构的片上光网络进行了光网络层的数值仿真分析。仿真结果表明:该优化方法在本文所用参数下可将光网络层的平均光信噪比提升约1.5 d B,其能够有效提升多波长片上光路由器和光网络的光信噪比和误码率性能,实现光网络中更低的误码率传输和数据通信的可靠性提升。2.将信道编码技术应用于片上光互连网络,结合群计数编码方法具有强大检错能力的优势,设计了全电、全光和光电混合的群计数编码器,其中全光和光电混合的群计数编码器基于硅基微环谐振器设计实现。基于仿真软件Interconnect验证了所设计的光电群计数编码器的正确性和可行性,并对其检错效率、能耗和面积开销进行了详细的分析和评估。分析结果表明:该群计数编码方法的错误检测效率可以达到88.2%,相比于奇偶校验方案的检错效率高出36.6%;全电、全光和光电混合的群计数编码器在最坏情况下能耗分别为0.260 f J/bit、56.000 f J/bit和30.386f J/bit;另外,该光电群计数编码器的占芯比例非常小,当Mesh和Torus网络规模增大至10×10时,其面积开销在整个芯片尺寸中的占比小于0.15%。3.设计了一种新型的适用于片上光互连网络的高可靠性通信系统,该系统具有错误检测和数据重传功能,可以有效保证目的节点所接收数据的正确性。在此基础上,为了减少串扰对通信可靠性的影响,对重传机制进行了优化,进一步提升数据重传的可靠性。基于Opti System仿真系统直观呈现了光群计数编码方法对于实现高可靠光通信系统的可行性和有效性,验证了所提出的光通信机制可以有效提高片上光互连网络中数据通信的可靠性。此外,选择常用的奇偶校验方案作为对照,基于不同的通信机制全面地评估了该可靠性片上光通信系统所付出的功耗和时延代价。分析结果表明:由于增加了激光源、编码及校验电路,采用群计数方法实现4比特数据的可靠传输相较于不含错误检测机制直接传输需要额外消耗26.4%的功率,相比于奇校验方案需要额外消耗16.3%的功率。基于奇偶校验的重传机制相比于只采用奇偶校验但无重传约需额外35%的零负载端到端时延开销,采用群计数方法重传机制的零负载端到端时延比只采用群计数方法但无重传约多出39%。本文所提出的可靠性提升技术在当前片上集成中切实可行,可以有效提升片上多核光通信网络系统数据通信的可靠性。在数字光通信领域和大规模片上光互连网络中具有潜在的应用价值,为芯片上可靠性光通信系统的实现提供了理论基础和技术储备。

吴辉[3](2020)在《光电互连网络的光电接口及路由控制器研究》文中认为随着大规模集成电路的发展,网络通信数据量的激增,对高速数据传输系统的要求越来越高,传统的基于电互连的片上网络由于集成过多的IP核会导致高功耗、易受电磁干扰、高传输时延等问题,限制着未来多核处理器的发展。相对比电互连片上网络,光互连片上网络由于带宽大、传输速度快、不易受电磁干扰等优点,逐渐受到科研院校的关注,但在数据量小、路由距离较近的情况下,光互连片上网络的优点无法弥补光电转换过程和链路配置带来的消耗。因此,将电互连片上网络与光互连片上网络相结合,研究光电互连网络具有重要意义。本文重点对光电互连网络的接口和路由控制器进行研究,设计了光电互连网络的资源网络接口和光电接口,并设计路由控制器用于链路的配置和释放。在研究光电互连网络的基础上,利用FPGA(Field Programmable Gate Array)作为平台设计基于Mesh结构的光电互连网络,并实现网络的数据传输。首先设计光电互连网络的接口,设计资源网络接口用于对数据包的路由信息进行分析,实现对资源节点数据包的传输方式判定;然后设计光电接口用于实现光信号和电信号之间的转换,采用FPGA的高速串行收发器作为物理层结构,利用Aurora协议对高速串行收发器进行互连和配置,实现数据的并串转换,再通过连接SFP(Small Form-factor Pluggable)实现光电接口。此外,设计路由控制器用于实现对光路由器的链路配置和链路释放完成数据传输,路由控制器分为五个控制端口和光路由器控制模块、占用请求处理模块、交换开关。设计控制端口和交换开关用于数据包的译码和传输,设计光路由器控制模块用于保存和消除光路由器控制信号,设计占用请求模块用于判断路由控制器的状态,利用Verilog HDL对各模块进行设计,并在Modelsim上对路由控制器各模块和路由控制器层进行功能仿真测试。最后为验证光电互连网络系统的功能,本文采用Xilinx的VC707和VC709开发平台对光电互连网络进行验证,实现数据包从源资源节点发出通过光纤传输到目的资源节点,同时分析光电互连网络的数据传输时延、吞吐率、误码率、网络功耗、资源消耗等性能指标,测试结果表明光电互连网络相对比电互连片上网络在功耗和资源消耗上有所增加,但网络的其它性能指标得到了较大的提升。

付利霞[4](2020)在《基于热效应的芯片上光网络可靠性研究与优化》文中进行了进一步梳理近年来,随着人类对高性能芯片的需求逐步增长以及纳米技术和硅基光子技术的不断发展。多核芯片技术作为可以解决单核芯片设计所面临的功耗极限、互连延迟等诸多问题的一项新技术,在上述的背景和需求下应运而生。现如今,单个芯片上能够集成的晶体管数可达到上亿个甚至更多,可以实现更加强大的功能。因此对芯片上多核系统(Multiprocessor systems-on-chips,MPSoCs)的研究也变成了一种新趋向。而在处理核心之间选择有效的互连方式以充分利用计算资源是决定MPSoCs性能的重要因素。将传统计算机网络的概念应用到芯片设计中的片上网络(Networks-on-chip,NoC)成为解决MPSoCs互连问题的新方式,可以解决传统总线架构带来的一系列问题。传统采用电连接的NoC随着其电路集成度和工作频率的不断提高逐渐出现严重的芯片上互连线的寄生效应,导致高损耗和高时延等问题,严重限制了 MPSoCs的持续发展。然而,采用光连接代替电连接的芯片上光网络(Optical networks-on-chip,ONoCs)有望打破NoC发展遭遇的障碍,解决电气互连所面临的一系列问题。所以具有高带宽、低能耗、以及低时延等优势的ONoCs,变成了一种目前极具发展前景的芯片上互连方式,因此对ONoCs的研究也成为了相关领域的一大研究热点。ONoCs是通过将光子激光器、光调制器、光路由器、以及光电探测器等常见硅基器件集成在绝缘衬底上硅(Silicon on insulator,SOI)芯片上来实现的。其中硅基微环谐振器(Micro-ring resonators,MRs)由于体积小、功耗低、与COMS兼容等优点被广泛应用于光调制器、光路由器、滤波器和光电探测器等领域,是ONoCs实现通信功能的关键器件。通常,ONoCs中的MRs是通过改变谐振(ON)和非谐振(OFF)两种状态进行工作的。理想情况下,谐振状态和非谐振状态的MRs应使信号功率最大程度的耦合和通过。但是,由于目前制造工艺水平的限制以及材料的固有属性,光子器件在工作过程中难以避免的会产生损耗和串扰噪声。尤其是作为光子器件的MRs还具有热敏性,环境温度的变化会影响它本身的特性。而芯片在工作过程中不可避免的会出现温度的变化,先前的研究表明芯片温度在时间和空间上是波动的,并且在典型的操作条件下,整个芯片上的稳态温度可以变化超过30℃。这样的温度变化会促使芯片上MRs的谐振波长发生热漂移现象。当MRs的谐振波长发生漂移后,就会使谐振波长和携带有效信息的工作波长出现失配的情况,从而引入额外的串扰噪声和损耗。而损耗和串扰噪声在ONoCs网络中的累积会影响光信号在网络中的正常传输,从而极大的降低ONoCs的通信可靠性。因此,本文针对热效应对ONoCs性能的影响,提出由热效应导致的光信号功率损耗以及产生的串扰噪声的计算模型和网络性能的系统分析方法以及可靠性优化方案,主要研究工作如下:1.阐述了 ONoCs的相关知识,分析了基于SOI的MRs的结构及工作原理。2.阐述了 ONoCs可靠性问题的造成因素以及解决的关键技术。3.基于热光效应的基本理论知识分析了 MRs随温度变化产生谐振波长漂移的原理以及漂移规律。依据MRs的传输谱线拟合了相关函数,并根据MRs在热效应作用下的谐振波长漂移式推导出了不同状态下的MRs的损耗和串扰噪声系数随温度变化的关系式。4.分析了芯片上光网络ONoCs中采用的路由准则和交换机制。并提出了适用于ONoCs的五端口光路由器的通用模型。5.构建了基本光交换器件级、路由器级以及网络级的结构模型,并建立了器件级、路由器级、以及网络传输链路中由热效应引起的损耗和串扰噪声的理论分析计算模型。并通过使用不同路由器的Mesh-based ONoCs的数值仿真来评估所提出的计算模型。在仿真过程中计算了网络中不同的通信光链路,最终找出最差通信链路来对热效应引起的ONoCs性能进行了评估。6.设计了基于线性分组码的全光编码器,并提出了基于该编码器的可靠通信系统。利用全光线性编码的纠检错特性解决了热效应导致的ONoCs可靠性降低的问题。仿真结果表明,通过将可靠通信系统应用于ONoCs,能够有效地优化信息传输质量。这种方法降低了误码率BER并改善了信号的光信噪比OSNR。上述工作表明,热效应对ONoCs的可靠性存在很大的影响。不论使用哪种光路由器,随着芯片上温度的浮动,ONoCs的性能都会出现明显下降趋势。随着温度变化幅度的增大,OSNR大幅降低,BER大幅增长。并且随着网络规模的持续增大,前述现象越发明显,这极大降低了 ONoCs的通信可靠性。而本文提出的基于线性分组码的可靠通信系统可以有效提高信噪比、降低误码率,对提高ONoCs通信系统的可靠性有显着的成效。

施新浩[5](2020)在《光片上网络的系统级串扰优化方法研究》文中研究指明芯片众核化程度的提高推动着片上网络的不断发展,作为片上网络主体的电互连片上网络受到带宽、延时等方面的限制,无法满足各种性能提升的需求。片上光互连技术具有高带宽、低延时的优点,可以用于解决电互连片上网络的性能瓶颈,但由于光器件易泄露的特性,光信号在传输中不可避免的会引起串扰噪声,成为制约光互连片上网络发展的一个重要因素。因此,对光片上网络进行串扰优化研究具有重要意义。论文以优化光片上网络的串扰为目标,分别从光路由器结构、映射算法和路由算法这三个方面展开研究。路由器级的串扰噪声是光片上网络串扰的重要组成部分,而光路由器中的微环和交叉波导是引起串扰的主要部件,论文通过减少路由器中微环和交叉波导的数量,并合理配置平行开关单元和交叉开关单元,提出了一个低串扰的五端口光路由器结构Srax。任务映射是联系应用和片上网络处理核的关键一环,光片上网络中使用不同的映射方式产生的串扰也不同,本文分为两步来确定最优映射方案,首先通过粒子群算法获取初步最优映射,然后结合模拟退火算法对该映射方案进行去局部最优性,设计了一种启发式融合映射算法PSO_SA,以降低光片上网络整体的串扰。应用中多任务的通信连接相互交错,是导致光片上网络串扰的一个直接原因,本文分级设置任务通信的传输路径,根据前后级任务通信的交汇情况建立相互联系的层级信息素网,并借鉴蚁群算法中使用信息素对路径选择进行引导的方式,提出了一种串扰优化的路径规划方法CORA。论文采用光片上网络应用映射工具Pho Noc Map构建光片上网络串扰仿真平台,通过嵌入光路由器、映射算法和路由算法来完成光片上网络系统级串扰优化的仿真验证。在光路由器各传输路径平均值的对比中,Srax的最大串扰噪声为-22.670d B,均优于Cygnus和Optical Router。在映射算法对比中,PSO_SA算法串扰优化性能在263dec、Wavelet、DVOPD等多个应用程序中均优于GA算法,最大优化程度达到28.7%。路由算法的对比实验表明,CORA能有效降低光片上网络的串扰,运用于DVOPD应用时的串扰比XY维序路由算法降低了31.7%。

程涛[6](2020)在《面向异构众核系统的弹性光电混合互连网络设计方法研究》文中研究指明AI技术的蓬勃发展使得智能应用中存在大量非结构化数据与并行计算特征,集成通用CPU核与ASIC专用智能加速器(AI核)以及GPU的异构众核系统可以有效匹配不同的计算需求。与同构系统不同的是,异构系统中不同类型的核具有不同的通信特性,并行计算核具有带宽敏感性,串行计算核具有延时敏感性,这些不同的特性对应用程序的性能产生重要影响。网络互连设计是保障异构系统通信性能的关键,因此,研究面向异构众核系统的弹性互连网络设计方法具有重要意义。论文主要工作以提升通信性能与降低功耗开销为目标,分别从互连网络拓扑结构、通信服务质量保障机制与弹性带宽供给机制等方面对异构众核系统的弹性互连网络设计方法展开研究。针对异构众核系统通信延时和功耗开销高的问题,研究了互连网络拓扑结构与路由算法,设计了低功耗光电混合片上网络拓扑Hyper,其中在拓扑结构的电网络层使用无缓存电路交换制的网络,光层使用低直径的光总线结构;基于Dijkstra路径规划方法的设计了最短延时路径路由算法Delra,达到了低功耗低延时的效果。针对异构众核系统中差异化通信需求特征导致的应用程序性能下降的问题,研究了不同类型计算核的差异化延时敏感特性,通过增加延时敏感核专用虚拟通道以及对不同类型数据包进行区分服务,设计了一种基于差异化延时敏感程度的通信服务质量保障机制,并利用R-SWMR总线的预约反馈机制优化了Delra路由算法,使Auto-Delra路由算法具有弹性的抗拥塞特性。针对异构众核系统中通信分布与带宽需求存在差异性导致的链路资源利用率不足问题,研究了动态带宽控制方法,提出了基于机器学习算法的弹性带宽供给机制,利用网络负载的四维特征向量对下一时期的网络带宽进行预测,提高了网络资源利用率,降低了光网络的静态功耗。论文使用异构片上网络仿真平台JADE进行实验仿真,并在平台中添加了光电接口单元,新增光器件功耗模型,以支持对光电混合网络的仿真。在12×12的拓扑规模下,Hyper的平均功耗较Sharp低50%,平均延时较Sharp低5.8%。Qo S保障机制下的Hyper网络的延时保障率较Sharp提高6%。在增加弹性带宽供给机制后,平均功耗较固定带宽供给机制降低了20%。实验证明论文设计的异构弹性光电混合互连网络能够降低系统的功耗开销,有效提升系统的通信性能。

梁国宪[7](2019)在《面向众核的大容量三维光片上网络研究》文中认为随着芯片上IP(Intellecture Property)核数目的增多,IP核之间的互连问题已经成为制约芯片性能提升的主要瓶颈,实现数量众多的IP核心之间的高效互连成为目前亟需解决的问题。光片上网络(ONoC,Optical Network-on-Chip)由于在通信速度、带宽、功耗、串扰、拓展性以及设计复用性等方面具有着巨大优势,已经受到国内外学者的广泛关注。但是传统的ONoC通信架构难以满足众核乃至千核大规模芯片对系统集成度和通信容量提出的严峻要求,对互连网络规模的增长缺乏足够的适应能力。基于此,本文围绕三维互连架构,以提升片上互连系统的网络容量为目标,对传统三维ONoC架构展开性能仿真与分析,并从建链方案、通信策略以及拓扑结构三个方面对大容量三维ONoC进行优化设计,具体内容如下:1.三维ONoC通信架构性能仿真与分析。研究了网络维度、信息分组长度、网络规模以及流量模式等因素对基于光电路交换和时分复用这两种通信机制的三维ONoC的影响,分析了阻碍传统三维ONoC通信速度和网络容量进一步提升的主要因素。仿真结果表明,相较于二维架构,三维ONoC具有更好的通信速度和吞吐性能、更能满足大规模芯片对集成度和互连性能提出的要求。但是传统基于光电路交换机制的三维ONoC对于网络规模的增长以及流量模式复杂化的适应能力仍比较弱;而传统的时分复用三维ONoC同样对网络规模比较敏感,但能够很好地屏蔽复杂流量模式对通信性能的影响。2.大容量三维ONoC优化设计。首先,以提高光电路交换3D ONoC的建链效率为目标,采用时间预测的网络状态划分方法来做撤链决策,提出了一种动态退避建链方案;其次,以提高三维芯片层内以及层间IP核的通信效率为目标,将光电路交换机制和时分复用方法进行互补结合,提出了一种层内建链、层间时分复用的混合分层通信机制;最后,以提高网络连通性为目标,提出了一种基于星型簇结构并具有三层通信方法的三维ONoC通信架构。仿真结果显示,较传统停止等待建链方案,基于网络状态划分的动态退避建链方案在最好情况下饱和注入点提高了20%,网络吞吐量提高了32.7%;较传统光电路交换通信机制,混合分层通信机制在均匀流量模式下饱和注入点提高了28.7%,网络吞吐量提高了44.5%,而在复杂流量模式下,网络的通信性能基本不受影响;在256核的网络规模下,较传统3D Mesh通信架构,基于星型簇结构的三维ONoC通信架构在均匀流量模式下饱和注入点提高了78.6%,网络吞吐量提高了51.8%,热点流量模式下饱和注入点提高了66.7%,网络吞吐量提高了40.7%。此外,在提高网络连通性的同时,基于星型簇结构的三维ONoC通信架构还将互连系统的面积成本和光损耗维持在一个较低的水平。

关晓宇[8](2019)在《光电混合片上网络热稳定性控制方法研究》文中进行了进一步梳理相较于传统的电互连片上网络,光电混合片上网络充分结合光互连网络和电互连网络的优势,具有高带宽低延时和低功耗等优点,在远距离通信与近距离通信都能达到性能最优。但由于光网络中的光器件对温度有较强的敏感性,尤其是微环谐振器的热稳定性很差,谐振波长极易因温度的变化发生偏移,严重影响网络通信性能。因此,研究光电混合片上网络的热稳定性控制方法具有重要意义。论文在分析了电互连和光互连技术特点的基础上,选取由Mesh结构电网络和波分复用型光网络构成的片上互连模型,来研究提高光电混合片上网络热稳定性的控制方法。首先,针对任务执行过程中任务分配导致的热分布不均衡问题,提出了基于微环感知的离线任务映射方法。依据任务的功耗差异,将任务均衡的映射到网络中的各个处理器核上,实现了温度的均衡分布,降低了微环周围的温度。其次,针对任务执行过程中存储器读写功耗和网络自身的功耗也会影响光节点的温度分布情况,设计了基于热预测的在线温度控制策略。判定当前光节点温度和预测的阈值温度的差别,通过动态调频方法来控制温度变化,从而改善光节点温度环境。最后,对在线温度控制策略执行过程中产生的部分光节点失效问题,提出了一种基于微环感知的自适应路由算法以实现网络的全局通信,避免了因为光节点失效而导致的通信故障。论文对基于真实应用的片上网络仿真软件JADE进行了改造,加入了温度仿真模块和功耗提取模块,构建了支持真实应用下的光电混合片上网络温度仿真环境,以完成对光电混合片上网络的热稳定性仿真验证。在8×8拓扑规模下,对比采用热均衡任务映射和不采用热均衡任务映射,光节点周围温度分布趋于均衡,峰值温度大约降低了2K到3K。采用了在线温度控制策略情况下,平均温度大约降低1K到2K,平均包延迟降低约12%,网络吞吐率提高约10%。在采用了自适应路由算法后,网络的吞吐率提高了约11%。

王昭[9](2019)在《基于光电混合交换的高性能计算机互连网络技术研究》文中研究指明随着E级计算的兴起,传统基于光传输、电交换的互连网络,存在的集成度低、功耗高、可靠性差和带宽难以升等问题,已经逐渐成为下一代高性能计算机发展的瓶颈。与此同时,随着硅光工艺的快速发展,光交换技术逐渐成熟,其低延迟、高带宽的优势开始受到关注,光电混合交换技术已经成为下一代高性能互连网络的发展方向。论文在调研了光交换网络技术的基础上,针对现有的光传输、电交换的高性能计算互连网络系统传输延迟较高的问题,出了一种低延迟的基于光电混合交换方式的改进网络。通过采用无阻塞阵列波导光栅器件,设计了基于波长路由的光电混合路由器结构,完成了多级缓存结构、端口竞争和仲裁策略的设计,出了基于光分组的转发策略。在此基础上,出了相应的光电路由控制的方法和流量控制的协议,实现了光流量与电流量的适配转换。基于上述改进网络,我们使用OMNeT++软件开发了包含18432个计算结点、5层路由模块的胖树拓扑网络,建立了事物级仿真模型,采用随机均匀、热点等流量模式,进行了网络吞吐率和传输延迟的仿真。实验结果表明,与现有电交换网络相比,光电混合交换网络的数据传输平均延迟降低了810%,保持了90%的高吞吐率,实现了预期的设计目标。

翦杰[10](2017)在《片上光互连高阶交换芯片设计研究》文中研究指明随着高性能计算机(HPC)系统计算性能的不断增长,HPC内部计算节点数量也在不断增加,使得HPC内部互连网络的规模日益扩大。为确保互连网络性能,控制计算节点间数据交换的跳步数和传输延迟,具有更多交换端口的高阶交换芯片设计成为设计下一代高性能计算机互连网络结构的必要组件。基于传统电互连技术实现的电交换结构,由于存在I/O功耗和带宽限制、片内布线拥塞、片上缓冲区资源受限等一系列问题,面临进一步扩展的瓶颈。硅光网络由于具有传输速度快、传输带宽高、低功耗、低信号串扰等特点,成为设计可扩展高阶交换网络结构的热门选择,另一方面,3D芯片集成技术的发展,使得利用TSV实现多芯片层堆叠得以实现。上述两种新技术的发展和成熟,为片上高阶交换芯片的设计提供了新思路,以硅光器件搭建主要的片上交换网络结构,并基于3D集成实现芯片的多层堆叠,设计面向下一代HPC系统的高阶交换芯片,成为本课题的主要出发点。本文基于硅光技术和3D集成技术,从硅光互连高阶交换芯片的结构设计、网络仲裁算法优化、功耗和信噪比分析等方面,寻求解决传统电互连交换芯片在吞吐率、延迟、功耗、可扩展性等方面的问题,本文的主要工作和创新点包括:1、高性能的光高阶交换网络拓扑结构(第三章)基于传统的电互连网络技术设计更高阶数的高阶交换网络时面临带宽和功耗瓶颈,与传统的电互连网络相比,光互连网络在功耗、延迟、带宽等方面具有明显优势;3D集成工艺的出现,为片上互连提供了更高的带宽密度和更少的功耗开销。本文首先将光互连技术与3D芯片集成技术应用于高阶交换芯片的设计之中,利用基于3D芯片集成技术的多光层互连网络(Multilayers Photonic Network on Chip,MPNoC),设计了一种高带宽、低功耗、低延迟、公平的高阶交换网络结构Graphein,Graphein结构利用TSV进行3D层间互连,层内则利用基于多写单读的光Crossbar进行高效数据传输,通过建立加速比分析模型,理论证明了Graphein结构在随机均衡流量下100%的吞吐率,从而满足了高性能计算系统互连网络结构对高阶交换芯片高吞吐性能的需求。2、基于资源预留机制的支持QoS的光互连网络仲裁策略(第四章)基于多优先级请求队列QOS服务的要求,提出了一种分级快速光互连网络通道仲裁机制。通过设置两级仲裁器,实现了网络资源的集中式仲裁;通过具有多优先级数据缓存队列的传输节点设计,实现了数据报文传输的最大延迟和节点的最小带宽保证。利用请求驱动的资源预约式两级仲裁机制,基于仲裁器与请求节点间的数据交换,实现了网络仲裁的完全公平,同时令网络的理论吞吐率达到100%;对设计的快速仲裁通道进行了合理布局,极大的缩短了仲裁延迟。上述创新,使得本文所设计的仲裁策略在为不同类型流量提供QoS保证的同时,在公平性、仲裁延迟、硬件开销等方面均比以往工作取得了明显优势。3、基于高密度存储器的可扩展光高阶交换芯片(第五章)基于交换结构输入输出端口隔离的思想,利用高密度、高读写字宽的新型存储器,构建了一种以高密度存储器为核心的多端口光交换裸片,并基于2.5D芯片集成技术,从交换网络的层次化设计思路出发,改进了上述多端口的单裸片交换结构,通过在裸片上添加片间输入输出代理模块,为裸片设计了片间数据互连交换接口,从而构建了基于多个裸片互连的可扩展高阶光交换芯片,同时还实现了裸片上的存储器控制逻辑和片间输入输出代理的物理结构,展示了数据报文在上述多裸片高阶交换结构中的传输过程,讨论了上述结构在构建未来高阶交换芯片时的可扩展性特点。最后基于光网络模拟器,分析了基于裸片结构的高阶交换芯片在延迟、吞吐率、硬件开销、功耗等方面的优势。4、面向Graphein硅光网络结构的功耗和可靠性分析模型(第六章)根据硅光器件的制造偏差和温度偏离特性,依次建立了从硅光器件到Graphein结构的硅光网络功耗分析模型,提出了一种利用额外通道和冗余微环,分别减少温敏控制功耗与制造调制功耗的方法。比较了两种冗余微环布局方法,分析了上述策略对光高阶交换网络温敏功耗与制造调制功耗的优化效果。为评价上述功耗优化策略对硅光网络信噪比的影响,进一步建立了网络的信噪比分析模型,分析了上述方法对网络信噪比的影响。总结了影响信噪比的网络参数,为进一步扩展光高阶交换结构提供了参考。

二、波长路由在光互连计算机网络中的应用(英文)(论文开题报告)

(1)论文研究背景及目的

此处内容要求:

首先简单简介论文所研究问题的基本概念和背景,再而简单明了地指出论文所要研究解决的具体问题,并提出你的论文准备的观点或解决方法。

写法范例:

本文主要提出一款精简64位RISC处理器存储管理单元结构并详细分析其设计过程。在该MMU结构中,TLB采用叁个分离的TLB,TLB采用基于内容查找的相联存储器并行查找,支持粗粒度为64KB和细粒度为4KB两种页面大小,采用多级分层页表结构映射地址空间,并详细论述了四级页表转换过程,TLB结构组织等。该MMU结构将作为该处理器存储系统实现的一个重要组成部分。

(2)本文研究方法

调查法:该方法是有目的、有系统的搜集有关研究对象的具体信息。

观察法:用自己的感官和辅助工具直接观察研究对象从而得到有关信息。

实验法:通过主支变革、控制研究对象来发现与确认事物间的因果关系。

文献研究法:通过调查文献来获得资料,从而全面的、正确的了解掌握研究方法。

实证研究法:依据现有的科学理论和实践的需要提出设计。

定性分析法:对研究对象进行“质”的方面的研究,这个方法需要计算的数据较少。

定量分析法:通过具体的数字,使人们对研究对象的认识进一步精确化。

跨学科研究法:运用多学科的理论、方法和成果从整体上对某一课题进行研究。

功能分析法:这是社会科学用来分析社会现象的一种方法,从某一功能出发研究多个方面的影响。

模拟法:通过创设一个与原型相似的模型来间接研究原型某种特性的一种形容方法。

三、波长路由在光互连计算机网络中的应用(英文)(论文提纲范文)

(1)光时隙分配优化算法(论文提纲范文)

摘要
abstract
第一章 绪论
    1.1 课题研究背景与意义
    1.2 国内外研究现状与存在的问题
        1.2.1 网络架构调研
        1.2.2 时隙分配算法调研
    1.3 研究内容与主要创新点
        1.3.1 数据中心光电混合网络架构的设计
        1.3.2 光时隙交换网中的时隙分配算法
    1.4 论文组织结构安排
第二章 光电混合网络方案实现关键技术
    2.1 突发模式光接收技术
    2.2 光交换器件
    2.3 光时分复用技术(OTDM)
    2.4 波分复用技术(WDM)
    2.5 本章小结
第三章 数据中心光电混合网络的设计
    3.1 数据中心流量特征及网络结构研究
        3.1.1 数据中心网络的流量特征
        3.1.2 数据中心网络存在的问题
        3.1.3 数据中心网络结构的研究
        3.1.4 数据中心光互联方案的调研
    3.2 数据中心光电混合网络架构
        3.2.1 应用场景分析
        3.2.2 框架设计
    3.3 数据中心超立方体架构的优点
    3.4 本章小结
第四章 数据中心光电混合网络中的时隙规划问题
    4.1 场景需求分析
    4.2 常见时隙分配算法调研
        4.2.1 固定时隙分配算法
        4.2.2 竞争时隙分配算法
        4.2.3 混合时隙分配算法
    4.3 数据中心具体功能模块的实现
        4.3.1 服务器模块
        4.3.2 光交换机模块
        4.3.3 电交换机模块
        4.3.4 控制器模块
    4.4 MUMD时隙分配算法的实现与优化
        4.4.1 MUMD时隙分配算法的实现
        4.4.2 对MUMD时隙分配算法的优化
    4.5 网络仿真验证
        4.5.1 OMNet++仿真软件
        4.5.2 INET仿真框架
        4.5.3 仿真环境设置
        4.5.4 仿真结果分析
    4.6 本章小结
第五章 总结与展望
    5.1 总结
    5.2 展望
参考文献
致谢
附录

(2)针对片上光互连网络通信可靠性的研究与优化(论文提纲范文)

摘要
Abstract
第一章 绪论
    1.1 研究背景
    1.2 国内外研究现状
        1.2.1 片上光网络实现基础
        1.2.2 片上光网络可靠性研究
        1.2.3 光编码技术与光编码器
    1.3 研究意义与主要研究内容
    1.4 论文组织结构
第二章 片上光互连器件与关键基础理论
    2.1 引言
    2.2 片上光互连基本器件
        2.2.1 激光器
        2.2.2 耦合器
        2.2.3 光波导
        2.2.4 微环谐振器
        2.2.5 滤波器与调制器
        2.2.6 光电探测器
        2.2.7 光器件数值仿真方法
        2.2.8 光器件优化理论
    2.3 光波分复用理论基础
    2.4 非线性四波混频效应
    2.5 本章小结
第三章 基于串扰特性的片上光互连网络通信可靠性分析与优化
    3.1 引言
    3.2 光器件级串扰特性的分析与优化
        3.2.1 物理结构模型
        3.2.2 功率分析模型
        3.2.3 传输特性分析
    3.3 光路由器级串扰特性的分析与优化
        3.3.1 串扰特性分析模型
        3.3.2 光路由器结构优化
        3.3.3 光路由器性能分析
    3.4 光网络级串扰特性分析与理论建模
        3.4.1 片上光Mesh与Torus网络
        3.4.2 交换机制与路由协议
        3.4.3 串扰特性分析与建模
    3.5 片上光互连网络性能仿真与分析
    3.6 本章小结
第四章 片上光互连网络可靠性编码的研究与设计
    4.1 引言
    4.2 片上光群计数编码器的设计与实现
        4.2.1 理论基础
        4.2.2 设计实现
        4.2.3 功能验证
    4.3 片上光群计数编码器的性能分析与比较
        4.3.1 检错效率
        4.3.2 能耗分析
        4.3.3 面积开销
    4.4 本章小结
第五章 基于光编码技术的片上光互连网络通信可靠性分析与优化
    5.1 引言
    5.2 片上可靠性光通信系统设计与优化
        5.2.1 片上可靠性光通信系统设计
        5.2.2 检错重传机制
        5.2.3 重传机制优化
    5.3 仿真分析与性能评估
        5.3.1 数值仿真分析
        5.3.2 通信可靠性评估
        5.3.3 检错能力评估
        5.3.4 功耗分析与评估
        5.3.5 时延开销评估
    5.4 本章小结
第六章 总结与展望
    6.1 本文工作总结
    6.2 未来工作展望
参考文献
致谢
攻读博士期间已发表的论文及专利
攻读博士期间参加的科研项目

(3)光电互连网络的光电接口及路由控制器研究(论文提纲范文)

摘要
Abstract
第一章 绪论
    §1.1 课题研究背景及意义
    §1.2 国内外研究现状
        §1.2.1 国外研究现状
        §1.2.2 国内研究现状
    §1.3 论文主要工作及章节安排
第二章 光电互连网络技术
    §2.1 光电互连网络的基础理论
        §2.1.1 拓扑结构
        §2.1.2 路由算法
        §2.1.3 交换技术
        §2.1.4 光波导
        §2.1.5 微环谐振器
        §2.1.6 性能评估
    §2.2 高速串行收发器
    §2.3 光电互连网络实验平台
    §2.4 本章小结
第三章 光电互连网络体系架构及接口设计
    §3.1 光电互连网络的体系架构
    §3.2 光电互连网络的资源节点及接口设计
        §3.2.1 资源节点设计
        §3.2.2 资源网络接口设计
    §3.3 光电互连网络的光电接口设计
        §3.3.1 Aurora协议分析
        §3.3.2 Aurora协议支持模块设计
        §3.3.3 光电接口回环测试
    §3.4 本章小结
第四章 光电互连网络路由控制器设计与验证
    §4.1 光电互连网络路由控制器整体设计
    §4.2 光电互连网络路由控制器功能模块设计
        §4.2.1 配置数据包路由译码器模块设计
        §4.2.2 链路释放处理器模块设计
        §4.2.3 请求信号处理器模块设计
        §4.2.4 数据包处理器模块设计
        §4.2.5 交换开关模块设计
        §4.2.6 占用请求处理模块设计
    §4.3 光电互连网络路由控制器验证
        §4.3.1 单个路由控制器验证
        §4.3.2 路由控制器层验证
    §4.4 本章小结
第五章 光电互连网络的验证及分析
    §5.1 光电互连网络实验平台
    §5.2 光电互连网络接口验证
        §5.2.1 资源网络接口验证
        §5.2.2 光电接口验证
    §5.3 光电互连网络功能验证
        §5.3.1 光路由器功能验证
        §5.3.2 光电互连网络整体功能验证
    §5.4 光电互连网络性能分析
        §5.4.1 传输时延分析
        §5.4.2 吞吐率分析
        §5.4.3 误码率分析
        §5.4.4 芯片资源消耗及功耗分析
    §5.5 本章小结
第六章 总结与展望
    §6.1 总结
    §6.2 展望
参考文献
致谢
作者在攻读硕士期间的主要研究成果

(4)基于热效应的芯片上光网络可靠性研究与优化(论文提纲范文)

摘要
Abstract
第一章 引言
    1.1 课题研究背景
    1.2 芯片上光网络研究现状
        1.2.1 硅基光子器件
        1.2.2 芯片上光路由器
        1.2.3 芯片上光网络
    1.3 研究重点及主要内容
        1.3.1 研究重点
        1.3.2 主要内容及结构安排
第二章 可靠性问题研究基础与关键技术
    2.1 损耗和串扰噪声问题
    2.2 热效应问题
        2.2.1 硅基微环谐振器相关理论
        2.2.2 硅基微环谐振器的波长漂移
    2.3 容错机制
        2.3.1 前向纠错
        2.3.2 检错重传
        2.3.3 容错路由算法
    2.4 本章小结
第三章 热效应导致的损耗和串扰分析
    3.1 微环谐振器损耗及串扰系数公式的推导
        3.1.1 谐振状态
        3.1.2 非谐振状态
    3.2 基本光交换器件
        3.2.1 物理结构模型
        3.2.2 损耗及串扰分析模型
    3.3 芯片上光路由器
        3.3.1 基本模型
        3.3.2 交换机制和路由算法
        3.3.3 各端口间损耗及串扰分析模型
    3.4 芯片上光网络损耗及串扰分析模型
    3.5 网络性能仿真与分析
        3.5.1 功率与噪声分析
        3.5.2 网络性能分析
        3.5.3 基于Optisystem的信道传输系统
    3.6 本章小结
第四章 芯片上光网络可靠性优化系统
    4.1 设计背景
    4.2 全光编码器设计
        4.2.1 理论基础
        4.2.2 具体实现
    4.3 可靠通信系统设计
    4.4 数值仿真
        4.4.1 编码器可行性验证
        4.4.2 网络性能仿真
        4.4.3 基于Optisystem的信道传输系统
    4.5 本章小结
第五章 总结与展望
参考文献
致谢
攻读硕士期间已发表的学术论文及专利
攻读硕士期间参加的科研项目

(5)光片上网络的系统级串扰优化方法研究(论文提纲范文)

摘要
ABSTRACT
第一章 绪论
    1.1 课题研究背景及意义
        1.1.1 片上网络研究背景
        1.1.2 光片上网络的串扰问题
    1.2 国内外研究现状
        1.2.1 光片上网络低串扰光路由器研究现状
        1.2.2 光片上网络串扰优化映射算法研究现状
        1.2.3 光片上网络串扰优化路由算法研究现状
    1.3 论文主要工作及章节安排
        1.3.1 论文主要工作
        1.3.2 论文章节安排
第二章 光片上网络串扰优化方法总体研究思路
    2.1 光片上网络串扰优化方法研究思路
        2.1.1 光路由器结构和串扰的关系
        2.1.2 任务映射和串扰的关系
        2.1.3 路由算法和串扰的关系
        2.1.4 整体研究思路
    2.2 仿真平台介绍与选择
        2.2.1 片上网络仿真平台简介
        2.2.2 Pho Noc Map仿真平台组成结构
    2.3 本章小结
第三章 光片上网络低串扰路由器结构设计
    3.1 光片上网络多端口光路由器结构设计
        3.1.1 多端口光路由器结构分析
        3.1.2 多端口光路由器串扰及损耗分析
    3.2 光片上网络五端口低串扰的光路由器结构设计
        3.2.1 五端口低串扰光路由器结构设计概要
        3.2.2 五端口低串扰光路由器结构Srax实现
    3.3 实验性能分析
        3.3.1 插入损耗性能分析
        3.3.2 最大串扰噪声性能分析
        3.3.3 最小信噪比性能分析
    3.4 本章小结
第四章 串扰优化的光片上网络映射算法设计
    4.1 映射算法研究
        4.1.1 映射策略选择及其相关应用
        4.1.2 映射算法设计分析
    4.2 低串扰启发式映射算法设计
        4.2.1 粒子群算法应用分析
        4.2.2 避免局部最优策略——模拟退火
        4.2.3 任务映射优化模型建立
        4.2.4 启发式融合映射算法PSO_SA实现
    4.3 实验性能分析
        4.3.1 不同光路由器串扰优化性能分析
        4.3.2 不同拓扑结构串扰优化性能分析
        4.3.3 不同应用串扰优化性能分析
    4.4 本章小结
第五章 面向串扰优化的路由算法设计
    5.1 路由算法研究
        5.1.1 维序路由的局限性
        5.1.2 串扰优化路由设计分析
    5.2 串扰优化的路径规划方法设计
        5.2.1 蚁群算法应用分析
        5.2.2 多任务通信路由分析
        5.2.3 串扰优化路由模型建立
        5.2.4 串扰优化的路径规划方法CORA实现
    5.3 实验性能分析
        5.3.1 不同光路由器串扰优化性能分析
        5.3.2 不同应用串扰优化性能分析
    5.4 本章小结
第六章 总结与展望
参考文献
致谢
在学期间的研究成果及发表的学术论文

(6)面向异构众核系统的弹性光电混合互连网络设计方法研究(论文提纲范文)

摘要
abstract
缩略词
第一章 绪论
    1.1 课题研究背景及意义
        1.1.1 异构众核系统的研究背景
        1.1.2 异构众核系统互连网络设计面临的问题
    1.2 国内外研究现状
        1.2.1 异构众核系统网络拓扑结构研究现状
        1.2.2 异构众核系统的通信服务质量保障机制研究现状
        1.2.3 异构众核系统的弹性带宽供给机制设计研究现状
    1.3 论文主要工作及章节安排
        1.3.1 论文主要工作
        1.3.2 论文章节安排
第二章 异构众核弹性光电混合互连网络总体研究思路
    2.1 面向异构系统的光电混合片上网络研究思路
        2.1.1 异构光电混合片上网络拓扑结构分析
        2.1.2 异构光电混合片上网络路由算法分析
        2.1.3 异构光电混合片上网络通信服务质量保障机制分析
        2.1.4 异构光电混合片上网络弹性带宽供给机制分析
        2.1.5 整体研究思路
    2.2 异构光电混合片上网络仿真平台设计
        2.2.1 面向异构光电混合片上网络的仿真平台简介
        2.2.2 基于JADE平台的异构光电混合片上网络仿真系统改造
    2.3 本章小结
第三章 异构众核光电混合片上网络拓扑结构设计
    3.1 低功耗异构光电混合片上网络三维互连架构设计
        3.1.1 整体架构设计
        3.1.2 电网络层通信控制机制分析
        3.1.3 光总线结构通信控制机制分析
    3.2 路由算法设计
        3.2.1 光网络路由算法设计
        3.2.2 电网络路由算法设计
        3.2.3 光电混合网络路由算法
        3.2.4 数据包结构设计
    3.3 实验性能分析
        3.3.1 系统仿真配置
        3.3.2 仿真流程模型
        3.3.3 通信性能分析
    3.4 本章小结
第四章 异构光电混合片上网络的通信服务质量保障机制设计
    4.1 通信服务质量保障机制设计
        4.1.1 异构片上网络通信服务质量分析
        4.1.2 差异化通信服务路由算法设计
        4.1.3 专用虚拟通道设计
    4.2 抗拥塞的弹性路由算法设计
        4.2.1 网络拥塞简介
        4.2.2 弹性路由算法设计
        4.2.3 弹性路由算法示例
    4.3 实验性能分析
        4.3.1 系统仿真配置
        4.3.2 QoS保障效果对比
        4.3.3 抗拥塞弹性路由算法效果对比
    4.4 本章小结
第五章 基于机器学习的光互连网络带宽弹性供给机制
    5.1 光网络功耗控制分析
        5.1.1 光链路功耗分析
        5.1.2 R-SWMR光总线功耗分析
        5.1.3 传统带宽控制机制的局限性
    5.2 基于机器学习算法的弹性带宽控制技术
        5.2.1 路由单元与数据包设计
        5.2.2 光网络通信负载统计
        5.2.3 基于贝叶斯决策的网络带宽预测方法
        5.2.4 预测算法实现
    5.3 实验与性能分析
        5.3.1 系统仿真配置
        5.3.2 网络功耗组成分析
        5.3.3 不同注入率下的网络功耗分析
    5.4 本章小结
第六章 总结与展望
参考文献
致谢
在学期间的研究成果及发表的学术论文

(7)面向众核的大容量三维光片上网络研究(论文提纲范文)

摘要
ABSTRACT
符号对照表
缩略语对照表
第一章 绪论
    1.1 光片上网络的发展
        1.1.1 从单核芯片到多核芯片
        1.1.2 从总线互连到网络互连
        1.1.3 从电互连到光互连
        1.1.4 三维封装技术与三维光片上网络
    1.2 光片上网络的研究目标
    1.3 光片上网络的研究现状
    1.4 本文的研究内容及论文结构安排
第二章 三维光片上网络传输模型与仿真模型
    2.1 三维光片上网络传输模型
        2.1.1 激光源
        2.1.2 光调制器
        2.1.3 光电探测器
        2.1.4 光路由器
        2.1.5 垂直互连器件
    2.2 三维光片上网络仿真模型
        2.2.1 OPNET仿真软件
        2.2.2 三维光片上网络相关性能参数
        2.2.3 三维光片上网络仿真模型搭建
    2.3 本章总结
第三章 三维光片上网络通信架构仿真与分析
    3.1 基于光电路交换机制的三维光片上网络性能分析
        3.1.1 简介
        3.1.2 性能分析
        3.1.3 本节小结
    3.2 时分复用三维光片上网络性能分析
        3.2.1 简介
        3.2.2 性能分析
        3.2.3 本节小结
    3.3 本章总结
第四章 面向众核的大容量三维光片上网络设计
    4.1 基于网络状态划分的动态退避建链方案
        4.1.1 模型介绍
        4.1.2 性能仿真与分析
        4.1.3 本节小结
    4.2 层内建链层间分时复用的混合分层通信策略
        4.2.1 模型介绍
        4.2.2 性能仿真与分析
        4.2.3 本节小结
    4.3 基于星型簇结构的三维光片上网络
        4.3.1 模型介绍
        4.3.2 性能仿真与分析
        4.3.3 本节小结
    4.4 本章总结
第五章 总结与展望
参考文献
致谢
作者简介

(8)光电混合片上网络热稳定性控制方法研究(论文提纲范文)

摘要
abstract
缩略词
第一章 绪论
    1.1 课题研究背景及意义
        1.1.1 光电混合片上网络研究背景
        1.1.2 光电混合片上网络热稳定性问题
    1.2 国内外研究现状
        1.2.1 光电混合片上网络器件级热稳定控制研究现状
        1.2.2 光电混合片上网络系统级热稳定控制研究现状
    1.3 论文主要工作及章节安排
第二章 光电混合片上网络热稳定性控制研究思路及仿真平台设计
    2.1 光电混合片上网络热稳定性控制研究思路
        2.1.1 光电混合片上网络基本光器件介绍
        2.1.2 光电混合片上网络基本光器件的温度特性
        2.1.3 整体研究思路
    2.2 基于JADE的光电混合片上网络仿真平台设计
        2.2.1 片上网络仿真环境研究现状
        2.2.2 JADE和 HotSpot仿真软件简介
        2.2.3 基于JADE改造的光电混合片上网络仿真平台
        2.2.4 基于JADE改造的光电混合片上网络仿真平台仿真过程
    2.3 本章小结
第三章 微环感知的热均衡任务映射
    3.1 光电混合片上网络的结构选择
        3.1.1 光电混合片上网络通信方式
        3.1.2 光电混合片上网络拓扑结构
    3.2 片上网络的任务映射
        3.2.1 片上网络映射问题
        3.2.2 片上网络映射算法
    3.3 基于微环感知的任务映射方法
        3.3.1 基于微环温度感知的热均衡映射方法设计
        3.3.2 基于微环温度感知的热均衡映射方法实现
    3.4 实验性能分析
        3.4.1 网络温度性能分析
        3.4.2 网络延时性能分析
    3.5 本章小结
第四章 基于热预测的在线温度控制策略
    4.1 在线温度控制策略问题
    4.2 基于热预测的在线温度控制策略研究
        4.2.1 基于热预测的在线温度控制策略设计
        4.2.2 基于热预测的在线温度控制策略实现
    4.3 基于热预测的在线温度控制策略性能评估
        4.3.1 温度性能分析
        4.3.2 包延迟和吞吐率性能分析
    4.4 本章小结
第五章 微环感知的自适应路由算法
    5.1 片上网络自适应路由算法简介
        5.1.1 片上网络自适应容错问题
        5.1.2 片上网络XY容错路由简介
    5.2 微环感知的自适应路由算法设计
        5.2.1 微环感知的自适应路由设计思想
        5.2.2 微环感知的自适应路由算法
        5.2.3 微环感知的自适应路由算法示例
    5.3 实验结果与分析
    5.4 本章小结
第六章 总结与展望
参考文献
致谢
在学期间的研究成果及发表的学术论文

(9)基于光电混合交换的高性能计算机互连网络技术研究(论文提纲范文)

摘要
ABSTRACT
第一章 绪论
    1.1 高性能计算机简介
    1.2 高性能计算机的互连网络
    1.3 本文的研究目标和内容
    1.4 论文的章节安排
第二章 高性能计算机互连网络的技术综述
    2.1 网络拓扑结构简介
    2.2 网络性能的基本理论
        2.2.1 网络延迟
        2.2.2 网络吞吐量
    2.3 典型高性能计算机互连网络简介
        2.3.1 高性能计算机互连网络的拓扑结构
        2.3.2 高性能计算机互连网络的路由模块
    2.4 光交换网络的技术背景
        2.4.1 片上互连系统的光电路交换技术
        2.4.2 大规模互连系统的光分组交换技术
    2.5 光交换网络中的器件简介
        2.5.1 光开关器件技术
        2.5.2 波长控制器件技术
    2.6 本章小结
第三章 基于光电混合交换的互连网络设计
    3.1 光电混合交换互连网络的结构设计
    3.2 光电混合交换互连网络的路由设计
        3.2.1 波长路由器的数据交换
        3.2.2 上行路由的设计
        3.2.3 下行路由的设计
        3.2.4 水平路由的设计
    3.3 流量控制协议的设计
        3.3.1 电流量控制协议的设计
        3.3.2 光流量控制协议的设计
    3.4 数据分组的设计
    3.5 本章小结
第四章 路由模块设计
    4.1 路由模块的整体结构设计
    4.2 路由模块的缓存设计
    4.3 路由模块的输入光端口设计
        4.3.1 输入光端口的结构设计
        4.3.2 光分组的接收方式设计
    4.4 路由模块的输出光端口设计
        4.4.1 输出光端口的结构设计
        4.4.2 光分组的发送方式设计
    4.5 本章小结
第五章 互连网络的仿真与性能分析
    5.1 使用OMNeT++软件框架建立互连网络仿真模型
        5.1.1 互连网络仿真模型的建立
        5.1.2 流量模式的仿真设置
        5.1.3 网络性能的仿真计算
    5.2 路由模块的仿真分析
        5.2.1 路由分组长度与吞吐率的仿真分析
        5.2.2 数据发送策略与吞吐率的仿真分析
        5.2.3 光端口发射机数量与吞吐率的仿真分析
    5.3 光电混合交换网络性能的仿真分析
    5.4 本章小结
第六章 全文总结
参考文献
附录1 网络仿真参数的设置
致谢
攻读硕士学位期间已发表或录用的论文

(10)片上光互连高阶交换芯片设计研究(论文提纲范文)

摘要
ABSTRACT
第一章 绪论
    1.1 研究背景
        1.1.1 互连网络在高性能计算机中的重要地位
        1.1.2 高阶交换芯片设计所面临的技术挑战
        1.1.3 应对高阶交换芯片设计的新技术
    1.2 课题研究目标和意义
    1.3 本文研究内容与创新点
        1.3.1 主要研究内容
        1.3.2 本文创新点
    1.4 本文组织结构
第二章 交换芯片与硅光技术相关研究
    2.1 交换芯片设计研究现状
        2.1.1 基于Crossbar的交换芯片设计
        2.1.2 基于瓦片的YARC结构设计
    2.2 硅光网络关键技术研究现状
        2.2.1 硅光器件
        2.2.2 基于硅光技术的高阶交换芯片设计研究现状
    2.3 片上光互连网络模拟
    2.4 主要研究团队汇总
        2.4.1 国外研究团队
        2.4.2 国内研究团队
第三章 基于3D集成的高性能高阶光电互连交换结构
    3.1 引言
    3.2 相关工作
    3.3 高性能3D集成光电高阶交换结构设计
        3.3.1 Graphein结构与光交换层
        3.3.2 数据交换策略设计
        3.3.3 交换网络的物理设计与光导布局
    3.4 Graphein结构的吞吐率分析
        3.4.1 基于加速比的吞吐率分析模型
        3.4.2 Graphein结构吞吐率分析
    3.5 实验结果分析
        3.5.1 实验环境设置
        3.5.2 延迟分析
        3.5.3 吞吐率分析
        3.5.4 公平性分析
        3.5.5 性能隔离分析
        3.5.6 功耗分析
    3.6 总结
第四章 支持QoS的光高阶交换网络中的分级仲裁算法
    4.1 引言
    4.2 相关研究
    4.3 基于QoS支持的分级仲裁结构
        4.3.1 QoS设计规则
        4.3.2 支持QoS的仲裁结构
    4.4 资源预留的仲裁策略
    4.5 硬件设计与布局
        4.5.1 快速光仲裁通道设计
        4.5.2 互连接口与两级仲裁器微结构
    4.6 实验评估与对比
        4.6.1 实验环境
        4.6.2 QoS分析
        4.6.3 性能分析
        4.6.4 真实流量分析
        4.6.5 功耗分析
    4.7 总结
第五章 基于高密度存储器的可扩展高阶光交换芯片设计
    5.1 引言
    5.2 相关研究
    5.3 基于高密度存储器的高阶交换网络设计
        5.3.1 高阶交换网络的层次化设计思路
        5.3.2 以高密度存储器为中心的光交换网络设计
        5.3.3 基于存储器模块化和多裸片互连的交换结构扩展
        5.3.4 面向片间互连的裸片结构设计
    5.4 存储器控制逻辑和片间互连输入输出代理的实现
        5.4.1 存储器控制逻辑实现
        5.4.2 片间互连输入输出代理结构实现
        5.4.3 数据交换过程
    5.5 性能评价
        5.5.1 延迟和吞吐率分析
        5.5.2 硬件开销
        5.5.3 功耗分析
    5.6 总结
第六章 光高阶交换网络的功耗与SNR分析与评价
    6.1 引言
    6.2 相关工作
    6.3 面向Graphein结构的温敏功耗、制造偏离和信噪比分析模型
        6.3.1 硅光网络可靠性与静态功耗的相互影响
        6.3.2 温敏调制功耗分析模型
        6.3.3 制造偏离分析模型
        6.3.4 信噪比分析模型
    6.4 面向低功耗高信噪比的额外通道与冗余微环设计
        6.4.1 额外通道设计
        6.4.2 冗余微环设计
    6.5 实验与评价
        6.5.1 系统设置
        6.5.2 温敏调制功耗
        6.5.3 制造偏离校正功耗
        6.5.4 信噪比分析
    6.6 总结
第七章 总结与展望
    7.1 工作总结
    7.2 课题研究展望
致谢
参考文献
作者在学期间取得的学术成果

四、波长路由在光互连计算机网络中的应用(英文)(论文参考文献)

  • [1]光时隙分配优化算法[D]. 伍彦. 北京邮电大学, 2021(01)
  • [2]针对片上光互连网络通信可靠性的研究与优化[D]. 宋婷婷. 西南大学, 2021(01)
  • [3]光电互连网络的光电接口及路由控制器研究[D]. 吴辉. 桂林电子科技大学, 2020(02)
  • [4]基于热效应的芯片上光网络可靠性研究与优化[D]. 付利霞. 西南大学, 2020(01)
  • [5]光片上网络的系统级串扰优化方法研究[D]. 施新浩. 南京航空航天大学, 2020(07)
  • [6]面向异构众核系统的弹性光电混合互连网络设计方法研究[D]. 程涛. 南京航空航天大学, 2020(07)
  • [7]面向众核的大容量三维光片上网络研究[D]. 梁国宪. 西安电子科技大学, 2019(02)
  • [8]光电混合片上网络热稳定性控制方法研究[D]. 关晓宇. 南京航空航天大学, 2019(02)
  • [9]基于光电混合交换的高性能计算机互连网络技术研究[D]. 王昭. 上海交通大学, 2019(06)
  • [10]片上光互连高阶交换芯片设计研究[D]. 翦杰. 国防科技大学, 2017(02)

标签:;  ;  ;  ;  ;  

波长路由在光互连计算机网络中的应用(英文)
下载Doc文档

猜你喜欢